skip to main content


Search for: All records

Creators/Authors contains: "Chopra, Meghali J."

Note: When clicking on a Digital Object Identifier (DOI) number, you will be taken to an external site maintained by the publisher. Some full text articles may not yet be available without a charge during the embargo (administrative interval).
What is a DOI Number?

Some links on this page may take you to non-federal websites. Their policies may differ from this site.

  1. Altamirano-Sánchez, Efrain ; Mohanty, Nihar (Ed.)
    Free, publicly-accessible full text available May 1, 2024
  2. Bannister, Julie ; Mohanty, Nihar (Ed.)
    A method for automated creation and optimization of multistep etch recipes is presented. Here we demonstrate how an automated model-based process optimization approach can cut the cost and time of recipe creation by 75% or more as compared with traditional experimental design approaches. Underlying the success of the method are reduced-order physics-based models for simulating the process and performing subsequent analysis of the multi dimensional parameter space. SandBox Studio™ AI is used to automate the model selection, model calibration and subsequent process optimization. The process engineer is only required to provide the incoming stack and experimental measurements for model calibration and updates. The method is applied to the optimization of a channel etch for 3D NAND devices. A reduced-order model that captures the physics and chemistry of the multistep reaction is automatically selected and calibrated. A mirror AI model is simultaneously and automatically created to enable nearly instantaneous predictions across the large process space. The AI model is much faster to evaluate and is used to make a Quilt™, a 2D projection of etch performance in the multidimensional process parameter space. A Quilt™ process map is then used to automatically determine the optimal process window to achieve the target CDs. 
    more » « less
  3. A two-dimensional, cellular automata model for atomic layer etching (ALE) is presented and used to predict the etch rate and the evolution of the roughness of various surfaces as a function of the efficiencies or probabilities of the adsorption and removal steps in the ALE process. The atoms of the material to be etched are initially placed in a two-dimensional array several layers thick. The etch follows the two step process of ALE. First, the initial reaction step (e.g., Cl reacting with Si) is assumed to occur at 100% efficiency activating the exposed, surface atoms; that is, all exposed atoms react with the etching gas. The second reaction step (e.g., Ar ion bombardment or sputtering) occurs with efficiencies that are assumed to vary depending on the exposure of the surface atoms relative to their neighbors and on the strength of bombardment. For sufficiently high bombardment or sputtering, atoms below the activated surface atoms can also be removed, which gives etch rates greater than one layer per ALE cycle. The bounds on the efficiencies of the second removal step are extracted from experimental measurements and fully detailed molecular dynamics simulations from the literature. A trade-off is observed between etch rate and surface roughness as the Ar ion bombardment is increased. 
    more » « less
  4. Predicting the etch and deposition profiles created using plasma processes is challenging due to the complexity of plasma discharges and plasma-surface interactions. Volume-averaged global models allow for efficient prediction of important processing parameters and provide a means to quickly determine the effect of a variety of process inputs on the plasma discharge. However, global models are limited based on simplifying assumptions to describe the chemical reaction network. Here a database of 128 reactions is compiled and their corresponding rate constants collected from 24 sources for an Ar/CF4 plasma using the platform RODEo (Recipe Optimization for Deposition and Etching). Six different reaction sets were tested which employed anywhere from 12 to all 128 reactions to evaluate the impact of the reaction database on particle species densities and electron temperature. Because many the reactions used in our database had conflicting rate constants as reported in literature, we also present a method to deal with those uncertainties when constructing the model which includes weighting each reaction rate and filtering outliers. By analyzing the link between a reaction’s rate constant and its impact on the predicted plasma densities and electron temperatures, we determine the conditions at which a reaction is deemed necessary to the plasma model. The results of this study provide a foundation for determining which minimal set of reactions must be included in the reaction set of the plasma model. 
    more » « less
  5. The design and optimization of highly nonlinear and complex processes like plasma etching is challenging and timeconsuming. Significant effort has been devoted to creating plasma profile simulators to facilitate the development of etch recipes. Nevertheless, these simulators are often difficult to use in practice due to the large number of unknown parameters in the plasma discharge and surface kinetics of the etch material, the dependency of the etch rate on the evolving front profile, and the disparate length scales of the system. Here, we expand on the development of a previously published, data informed, Bayesian approach embodied in the platform RODEo (Recipe Optimization for Deposition and Etching). RODEo is used to predict etch rates and etch profiles over a range of powers, pressures, gas flow rates, and gas mixing ratios of an CF4/Ar gas chemistry. Three examples are shown: (1) etch rate predictions of an unknown material “X” using simulated experiments for a CF4/Ar chemistry, (2) etch rate predictions of SiO2 in a Plasma-Therm 790 RIE reactor for a CF4/Ar chemistry, and (3) profile prediction using level set methods. 
    more » « less